CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM 正弦

搜索资源列表

  1. PWM

    1下载:
  2. 用单片机的可变pwm的输出实现正弦波的输出。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:43090
    • 提供者:rxc
  1. pwm

    2下载:
  2. 应用matlab中的simulink仿真环境实现由三相正弦波产生PWM波形的仿真实验。
  3. 所属分类:matlab例程

    • 发布日期:2014-01-17
    • 文件大小:7464
    • 提供者:王红梅
  1. 200811321271873952

    1下载:
  2. AVR EVB PWM正弦波产生程序频率和相位均可调的正弦波
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3805
    • 提供者:wumei
  1. PWM

    3下载:
  2. 使用PWM方式来产生一个1KHz左右的正弦波,幅度为0-Vcc/2
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2694
    • 提供者:张林
  1. PWM

    0下载:
  2. 利用PWM实现正弦波和DTMF-achieve sine wave PWM and DTMF
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7050
    • 提供者:陈昌文
  1. SPWM.zip

    0下载:
  2. 采用STC-51的STC12C5202AD芯片产生50HZ正弦波的SPWM调制发生器,50HZ generate sine wave of SPWM modulation generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:1593
    • 提供者:li
  1. pwm

    0下载:
  2. 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1452376
    • 提供者:夜雨
  1. c8051f330

    0下载:
  2. 基于C8051F330的正弦波、PWM、计数器、频率测试等功能实现-C8051F330 based on the sine wave, PWM, counter, frequency of testing functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:40521
    • 提供者:linxiand
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. SPWM

    0下载:
  2. 正弦PWM产生 产生的波形是对称的三相电压波形。 由430单片机产生。 MSP430开发板。-Sinusoidal PWM waveform is generated resulting three-phase symmetrical voltage waveform. Generated by the microcontroller 430. MSP430 development board.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:21197
    • 提供者:jimgreen
  1. pwm

    1下载:
  2. 压缩文档包括运用单片机生成pwm信号,以及利用pwm来产生正弦波,调温和控制电机转动。-Archive including the use of microcontroller pwm signal generation, and to generate sine wave using pwm, thermostat and control the motor rotation.
  3. 所属分类:SCM

    • 发布日期:2017-05-28
    • 文件大小:11742392
    • 提供者:dada
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. PWM

    0下载:
  2. 采样控制理论中有一个重要结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。PWM控制技术就是以该结论为理论基础,对半导体开关器件的导通和关断进行控制,使输出端得到一系列幅值相等而宽度不相等的脉冲,用这些脉冲来代替正弦波或其他所需要的波形。 对不了解PWM的开发人员很有帮助。-Sampling control theory have an important conclusion: the same impulse and different shapes of the
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:53854
    • 提供者:齐晶
  1. 1KHz

    0下载:
  2. 使用单片机通过 PWM方式实现1KHZ正弦波输出-Through the use of single-chip PWM sine wave output means 1KHZ
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:801
    • 提供者:zyf9m
  1. xinhao1

    0下载:
  2. 采用AVR单片机编写的正弦信号、方波信号、三角波信号发生器。使用PWM调制方式进行调制后再解调通过RC滤波器滤出信号。-AVR prepared using single-chip sinusoidal signal, square-wave signal, triangular wave signal generator. The use of PWM modulation modulation through the RC filter after the demodulation filt
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:34317
    • 提供者:刘建文
  1. 2051pwm

    0下载:
  2. 2051正弦波发生器,产生50赫兹的正弦PWM脉冲驱动信号。-2051 sine wave generator to produce pulses of 50 Hz sinusoidal PWM drive signal.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:652
    • 提供者:dlj
  1. sin-of-PWM-based-on-MSP430

    1下载:
  2. MSP430F5529单片机的PWM正弦波调制波形-MSP430F5529 microcontroller PWM sinusoidal modulation waveform
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:929
    • 提供者:古月
  1. 单片机产生正弦波

    1下载:
  2. 通过单片机产生PWM,调节参数寄存器的值,产生不同占空比的PWM信号。若占空比的变化规律是正弦的,则滤波后可得到所需正弦波形。(The PWM is generated by the microcontroller to adjust the value of the parameter register, and the PWM signals with different duty cycles are generated. If the duty cycle is sinusoidal,
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:384000
    • 提供者:所罗门
  1. PWM正弦波50hz_TIM4_CH1

    0下载:
  2. stm32定时器4生成PWM波(The STM32 timer 4 generates the PWM wave)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:1954816
    • 提供者:无限恒星
  1. 基于c8t6板的TIM1的PWM输出

    0下载:
  2. 使用stm32f103c8t6 输出pwm,可实现正弦波,三角波,锯齿波。(use stm32f103c8t6 to make pwm.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:1703936
    • 提供者:风笑
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com